Re: [PATCH] vsprintf and docs: Add X to %ph for upper case output

From: Andy Shevchenko
Date: Sun Aug 22 2021 - 04:54:31 EST


On Sun, Aug 22, 2021 at 11:45 AM Joe Perches <joe@xxxxxxxxxxx> wrote:
> On Sun, 2021-08-22 at 11:31 +0300, Andy Shevchenko wrote:
> > On Sun, Aug 22, 2021 at 6:00 AM Joe Perches <joe@xxxxxxxxxxx> wrote:
> > >
> > > Uppercase hex output of small char arrays is moderately frequently used.
> > > Add a mechanism to support the %*ph output as uppercase using 'X'.
> >
> > Besides the fact of existing hex_asc_upper_*(), what ABI (!) uses
> > this? If none, I dunno we need this.
> > And show at least a few users where we gain something after conversion.
> >
>
> There are at least a few uses that could be converted.

Provide a series then!

...

> + return snprintf(buf, 16 * 2 + 2, "%16phNX\n", sn);

> + return scnprintf(buffer, PAGE_SIZE, "%16phNX\n", unique_id);

I think you need to convert to sysfs_emit() in both cases.

--
With Best Regards,
Andy Shevchenko