Re: [PATCH] regulator/core.c: remove the else statement

From: Mark Brown
Date: Tue Apr 18 2017 - 11:50:07 EST


On Tue, Apr 18, 2017 at 11:39:34PM +0800, hubiaoyong wrote:
> in the function regulator_ena_gpio_free, the if branch contains
> the return statement, so remove the else statement.

Why is it a benefit to make this change?

Attachment: signature.asc
Description: PGP signature